Welcome![Sign In][Sign Up]
Location:
Search - VHDL control VGA

Search list

[Other resourcevga

Description: vhdl经典源代码——vga控制,入门者必须掌握-vhdl classical source code -- vga control, beginners must master
Platform: | Size: 802295 | Author: jeffery | Hits:

[Othertlc5620

Description: 这是一个用vhdl写的控制VGA的源程序,可以显示6种不同的图案,你也可以显示图象-This is a control written using VHDL VGA source, you can display 6 different designs, you can also display images
Platform: | Size: 230400 | Author: 浪子 | Hits:

[VHDL-FPGA-VerilogVGA_test1

Description: 用VHDL语言写的VGA 控制程序,已经验证过,绝对好用!-VHDL language used to write the VGA control procedures have been verified, the absolute ease of use!
Platform: | Size: 229376 | Author: 张俊 | Hits:

[Embeded-SCM DevelopEXPT12_12_VGAgame

Description: 基于fpga和sopc的用VHDL语言编写的EDA的PS/2鼠标与VGA控制模块-FPGA and SOPC based on the use of VHDL language EDA s PS/2 mouse and VGA control module
Platform: | Size: 29696 | Author: 多幅撒 | Hits:

[VHDL-FPGA-VerilogVGA_example

Description: FPGA/cpld 控制显示器显示 VHDL源码 内有测试程序-FPGA/cpld control display VHDL source code, there are test procedures
Platform: | Size: 963584 | Author: 张焱 | Hits:

[ARM-PowerPC-ColdFire-MIPSLCD_VGA_640_480

Description: 三星S3C2410A板 The initial and control for 640×480 16Bpp TFT LCD----VGA -Samsung S3C2410A board The initial and control for 640 × 480 16Bpp TFT LCD VGA
Platform: | Size: 4096 | Author: 李明 | Hits:

[Graph programcount1

Description: EDA实验--VGA计数实验:本实验由RESET健清0,PSW1健控制预制数,按下置入1234,PSW2控制正逆计数,按下递减计数,弹起正向计数。利用VGA作为输出设备,显示计数值,编辑源程序,观察实验结果。-EDA Experimental VGA counting experiment: This experiment was conducted by RESET Kin-ching 0, PSW1 prefabricated Kin control number, pressed into 1234, PSW2 control is against the count, press the reduced count, count up positive. The use of VGA as output device, shows the value, edit the source code to observe the experiment results.
Platform: | Size: 3072 | Author: 黄龙 | Hits:

[Graph programcount

Description: EDA实验--利用宏功能模块实现的计数器: 利用-MegaWizard Plug-In Manager创建一个16位计数器,具备正逆计数以及预置,清0功能。本实验由RESET健清0,PSW1健控制预制数,按下置入1234,PSW2控制正逆计数,按下递减计数,弹起正向计数。利用VGA作为输出设备,显示计数值,编辑源程序,观察实验结果。-EDA experiments using macro function modules realize the counter: use-MegaWizard Plug-In Manager to create a 16-bit counter, with counting and the inverse is preset, the Qing 0 function. The experiment was conducted by RESET Kin-ching 0, PSW1 prefabricated Kin control number, pressed into 1234, PSW2 control is against the count, press the reduced count, count up positive. The use of VGA as output device, shows the value, edit the source code to observe the experiment results.
Platform: | Size: 2048 | Author: 黄龙 | Hits:

[VHDL-FPGA-VerilogFPGA

Description: FPGA控制VGA接口显示汉字!VHDL源码!喜欢的朋友可以看看!-FPGA control interface VGA display Chinese characters! VHDL source! Favorite friends can see!
Platform: | Size: 2048 | Author: 陈谦 | Hits:

[VHDL-FPGA-VerilogDE2_with_VGA_LCM

Description: altera de2 开发板 vga lcd控制quatus 工程-altera de2 board vga lcd control quatus works
Platform: | Size: 2924544 | Author: 李志 | Hits:

[VHDL-FPGA-VerilogS8_VGA

Description: VGA显示接口的verilog控制程序。用于VGA显示器的控制驱动-VGA display interface Verilog control procedures. Control for VGA display driver
Platform: | Size: 1127424 | Author: zl.yin | Hits:

[VHDL-FPGA-Verilogpingball

Description: 这是一个带声音的弹球小游戏,通过VGA口显示,通过扩展口JA的 pin4和 pin GND输出声音, BTN3 BTN2 控制挡板左右移动,弹球和挡板都自带动画效果-This is a band sound pinball game, through the VGA port shows that through the expansion of the mouth of the JA and pin4 output pin GND voice, BTN3 BTN2 control baffle around Mobile, pinball and baffle all bring their own animation effects
Platform: | Size: 1126400 | Author: | Hits:

[source in ebookVGAVHDL

Description: those are examples to help u learn about VHDL and how it can control VGA screen
Platform: | Size: 1024 | Author: nick | Hits:

[VHDL-FPGA-Verilogvga_colors

Description: 通过vga通讯控制显示器显示七彩条文,通过quartus编译的程序,可用-Communication and Control through the vga display colorful provisions quartus compiled through the procedures that can be used
Platform: | Size: 276480 | Author: 夏英杰 | Hits:

[Linux-UnixLinux_bc

Description: 对vga接口做了详细的介绍,并且有一 ·三段式Verilog的IDE程序,但只有DMA ·电子密码锁,基于fpga实现,密码正 ·IIR、FIR、FFT各模块程序设计例程, ·基于逻辑工具的以太网开发,基于逻 ·自己写的一个测温元件(ds18b20)的 ·光纤通信中的SDH数据帧解析及提取的 ·VHDL Programming by Example(McGr ·这是CAN总线控制器的IP核,源码是由 ·FPGA设计的SDRAM控制器,有仿真代码 ·xilinx fpga 下的IDE控制器原代码, ·用verilog写的,基于查表法实现的LO ·精通verilog HDL语言编- up:in STD_LOGIC down:in STD_LOGIC run_stop:in STD_LOGIC wai_t: in std_logic_vector(2 downto 0) lift:in std_logic_vector(2 downto 0) ladd: out std_logic_vector(1 downto 0) ) end control
Platform: | Size: 18683904 | Author: liuzhou | Hits:

[SCMExp7-PS2

Description: 鼠标ps2 控制 pfga,并且在vga上显示,有源码,还有ucf文件 可以自行修改-Ps2 mouse to control pfga
Platform: | Size: 593920 | Author: yangcheng | Hits:

[VHDL-FPGA-VerilogVGA

Description: 基于VHDL语言的VGA显示控制程序,可以在1440x900分辨率的显示器上显示-Based on VHDL VGA display control program in 1440x900 resolution display on the monitor
Platform: | Size: 2048 | Author: 王雷 | Hits:

[VHDL-FPGA-Verilogfpga-vga

Description: 本设计介绍了一种利用可编程器件FPGA,应用VHDL和Verilog两种语言实现VGA(video graphic array)图像控制器的设计方案,通过采用FPGA(Filed programmable Gate Array)芯片设计和VGA接口将要显示的数据直接送到显示器主要设计出一些重要图像的各个功能模块,并且通过系统仿真软件和FPGA硬件实验板来验证设计结果的正确性。 本设计首先对FPGA芯片和图像的显示原理以及VGA显示器的控制方法做了清晰的阐述,然后在此基础上使用FPGA设计VGA图像的显示控制的各种方案,实现彩条、中文汉字、图形、汉字动画等图像在显示器上的显示,完成各种各样图像的变化,达到设计的基本要求。 -This design has introduced a kind of make use of the programmable device FPGA, the application of the two languages and Verilog VHDL realize VGA (video graphic array) image controller design scheme, by the use of the FPGA (Filed programmable Gate array) chip design and VGA interface that is to be revealed data directly to the main display design out some important image of each function module, and through the system simulation software and hardware board to verify the FPGA design of the accuracy of the results. The design of FPGA chips, and the first image display principle and VGA display control methods of clear paper, and then based on this use of the image and the FPGA design VGA display control solutions, realize the striped, Chinese characters, graphics, animation, and other Chinese characters on a display image display, finish all kinds of image changes, to design the basic demand.
Platform: | Size: 5575680 | Author: 郭晓阳 | Hits:

[Software Engineeringfpga-vga

Description: vhdl编程,控制vga显示的实验报告,内置程序和详细分析。-VHDL programming, control VGA display experiment report, internal procedures and detailed analysis.
Platform: | Size: 881664 | Author: jim | Hits:

[Software EngineeringVGA

Description: vhdl 实现vga 控制,可以显示图像-vga vhdl achieve control, can display images
Platform: | Size: 699392 | Author: | Hits:
« 1 23 4 »

CodeBus www.codebus.net